Здравствуйте, гость ( Вход | Регистрация )

Форум » Сайт и форум » Разработки и Статьи на сайте » Все вопросы касающиеся Neil Scope (Ваши замечания, предложения и т.д.)
Все вопросы касающиеся Neil Scope
LeftRadio Пятница, 26.03.2010, 21:41 | Сообщение # 1
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Шапка темы.

--
NEIL SCOPE 2



--------------------------------------------------------------------------------------

--
NEIL SCOPE 3

Схема ревизия 3.2 - NS_3.0_rev_3.2.pdf
Схема соединения ЖК с 16-ти битной шиной(только для ревизий ниже 3.2, в 3.2 HC573 уже есть на основной плате) - NS_3.0_HC573.pdf
BOM(список компонентов) - BOM_NS3_rev3_2.htm

-------------------------------------------------------------------

-------------------------------------------------------------------

Прошивка ПЛИС --- 19/05/15

MCU Bootloader (загрузчик МК) --- 17/10/13
Прошивка МК (ЖК с 8бит, 65к цветов) --- 2015_17_15
Прошивка МК (ЖК с 8 бит, 262к цветов) --- 2015_17_15
Прошивка МК для (ЖК с 16бит, HC573, 262к цветов) --- 2015_12_17

Исходники ПЛИС (github) --- 11_12_13
Исходники МК (github) --- 12/02/15
Архивные прошивки МК

Краткое описание осциллографа
Протокол обмена NeilScope3 с ПК

//----------------------------------------------------------------------------------------------------------
Прошивальщик МК (автор Ильдар) - NSFlashLoader2.
Утилита для перепрошивки СР2102 VID/PID под NeilScope3 (автор Ильдар) - NSCP2102Utilite2.rar.
Использование данных VID/PID для перепрошивки СР2102 под другие устройства категорически запрещено, они были получены по запросу у Silicon Laboratories Inc. и предназначены для использования только с NeilScope3 или другими версиями NeilScope.

Утилита для теста осциллографа - ns_test_util.
Для запуска нужно скачать и установить Python 3.4 и PyQt5, при установке добавляем переменные окружения. Распаковать архив куда нибудь и набрать из этой папки в консоли - "python main.py"

Драйвера для NeilScope3 (под перепрошитые VID/PID) - NeilScopeDriver.zip

Процедура прошивки МК(обновлено 23.08.2015) - FirmwareManual.pdf

Для последующих обновлений просто запускаем NSFlashLoader, и обновляемся. Джампер при этом трогать уже не нужно.

//----------------------------------------------------------------------------------------------------------
.
.
.
Важные замечания по сборке

На плате не указана перемычка с 10-го вывода(INTRL) 74НС4052(U15) на вывод SWDIO МК, можно и просто подпаять пока на +3.3В в любое удобное переходное отверстие.

//----------------------------------------------------------------------------------------------------------

Фото платы ревизии 3:



Демо видео работы осциллографа, ревизия 1.9

----------------------------------------------------------------------------------------
Схема и плата ByteBlusterMV для программирования EPM570, оригинал здесь. Эту плату делал сам, проверена, работает.
.
.
//----------------------------------------------------------------------------------------------------------

Любое коммерческое использование данного проекта категорически запрещено. По всем вопросам обращаться wladkam(гав)mail.com
Прикрепления: 5561811.jpg (244.1 Kb) · 2201731.jpg (212.8 Kb)


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 17.12.2015, 04:59  
 
kison Среда, 20.04.2011, 03:16 | Сообщение # 1081
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Вы смотрите только первую страницу даташита :), стр.11:

Возможно - мне было лень листать долго :)
Quote (LeftRadio)
Не совсем понял, зачем? Ведь амплитуда на конденсаторе не будет превышать 50В из вашего примера, или я действительно чего то не понимаю?

Во внешнем делителе резистор и конденсатор в параллель. Резистор 9МОм. Конденсатор подстроечный. Нижнее плечо делителя - вход прибора.
Подаем 400В постоянки через этот внешний делитель и имеем все 400В на конденсаторе. Да, совсем забыл - реле параллельно конденсатору должно тоже быть на полное напряжение, такое же как у конденсатора.

Quote (LeftRadio)
Думал над этим, но как запускать такт?

Зачем его запускать? Пусть себе всегда работает. Просто не пускать его на FIFO и все. Это можно на копеечной логике сделать. К примеру на 2И - на один вход такт, на второй выход триггера, выход 2И - на FIFO.

Quote (LeftRadio)
Что бы посмотреть синус, да, SinX/X вполне достаточно без доп. отсчетов. При 5МГц входной частоты произвольной формы 20 точек лучше чем 10, как с дальнейшей мат. обработкой так и без. Если я не прав то даже проще

Еще Фурье доказал что сигнал произвольной формы раскладывается на синусоиды. Прямоугольный сигнал - синус+гармоники. Чтоб он был похож на прямоугольник нужно пропускать 5-ю гармонику и выше. Итого - для частоты меандра в 5МГц нужна полоса в 25МГц минимум. Если полоса меньше - сигнал превратится в синус. И никакой интерлив это не исправит. Полоса кстати как раз и нормируется по синусу. Для Ваших 65МГц нужна полоса не выше 32.5МГц. Это при хорошем фильтре, в реальности полоса должна быть не выше 1/4 от дискретизации. Т.е. 15МГц. И соответственно максимальный меандр, который будет похож на меандр - не выше 3МГц.
Все что выше будет стремится стать синусом, увы.
Картинка на вики - "http://ru.wikipedia.org/wiki/Меандр_ (радиотехника)"
Что то ссылку делает неправильно. Взял в кавычки.
Там видна зависимость формы от наличия гармоник. Очень познавательно для осциллостроителей :)
В Вашем случае полоса ограничена - соответственно больше гармоник, чем обычно, при интерливе не пройдет. Вывод простой - интерлив не нужен. Или отключайте фильтры на полосу, но тогда смотрите предыдущую ссылку про алиасинг и будьте готовы к картинкам не соответствующим действительности. Кстати китайцы при полосе в 60МГц делают дискретизацию в 500 гигасэмплов из за того, что фильтр у них первого или второго порядка. А алиасинг им получить не хочется. Вот поэтому там высокая частота выборок - просто на ее половинной частоте все внеполосные сигналы должны быть подавлены минимум на 48дБ при 8-ми битном АЦП. Кстати увеличение разрядности АЦП требует и улучшения фильтра :)


Сообщение отредактировал kison - Среда, 20.04.2011, 03:35  
 
LeftRadio Среда, 20.04.2011, 05:20 | Сообщение # 1082
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Резистор 9МОм. Конденсатор подстроечный. Нижнее плечо делителя - вход прибора.
Подаем 400В постоянки через этот внешний делитель и имеем все 400В на конденсаторе.

Да, Вы правы, при разомкнутом ключе на емкости действительно будет все постоянное напряжение приложенное ко входу щупа, а конденсатор на 630В действительно не хилая "калабаха" :( Для минимальных размеров прибора можно конечно использовать щупы с открытым/закрытым входом, а прибор оставить всегда с открытым...
По поводу интерлива согласен, убедили :)

Quote (kison)
Зачем его запускать? Пусть себе всегда работает. Просто не пускать его на FIFO и все. Это можно на копеечной логике сделать. К примеру на 2И - на один вход такт, на второй выход триггера, выход 2И - на FIFO.

Можно и так, только нужно подумать как блокировать триггер после срабатывания и снимать блокировку для следующего захвата картинки.


---

Нет войне!


Сообщение отредактировал LeftRadio - Среда, 20.04.2011, 05:25  
 
Муха Среда, 20.04.2011, 12:40 | Сообщение # 1083
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Quote (LeftRadio)
Подаем 400В постоянки через этот внешний делитель и имеем все 400В на конденсаторе.

Мурата делает подстроечные конденсаторы серии СТС-05 на 400 В постоянного тока. Миниатюрные и достать не проблема.
А у, например A1T4HV, той же Мураты, рабочее напряжение 1000В, максимальное 2000В постоянного тока.


Сообщение отредактировал Муха - Среда, 20.04.2011, 12:47  
 
kison Среда, 20.04.2011, 13:22 | Сообщение # 1084
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Для минимальных размеров прибора можно конечно использовать щупы с открытым/закрытым входом, а прибор оставить всегда с открытым...

Это правильное решение :) Если понадобится закрытый вход всегда можно конденсатор снаружи подключить.
Quote (LeftRadio)
Можно и так, только нужно подумать как блокировать триггер после срабатывания и снимать блокировку для следующего захвата картинки.

Ну это то совсем просто. Даже добавлять ничего не надо. Синхронизация ( триггер, но тут можно запутаться) - компаратор+D триггер. D триггер - 74hc74, он имеет также входы R и S, причем они более приоритетные. Нам надо управлять R - это сброс события синхронизации. Заодно и запрет такта. Исходное состояние - R=0(триггер в сбросе), S=1(перманентно, просто притянут к питанию), выход идет на один из входов элемента 2И. На второй вход идет такт. На выходе 2И ничего - 0. FIFO пустое.
Настраиваем компаратор на нужный уровень и перестаем сбрасывать триггер (R=1). Прибор ждет синхронизации (перепада на выходе компаратора), после чего триггер разрешает такт. Идет заполнение FIFO, мы контролируем выход самой микросхемы FIFO и когда заполнится - снова сбрасываем D триггер. Все, такта снова нет.

А вот все что я понаписал про интерлив - бред. :( Так то все правильно, но Вам не нужно ограничивать полосу, точнее это просто бесполезно. Это будет работать только при максимальной дискретизации, а Вы ведь управляете ее частотой. Снизите ее в 2 раза - и фильтры перестанут работать. Я почему то про это забыл. Так что не ограничивайте полосу вообще. Либо перестраивайте фильтр. Вот например простенькая конструкция, мне в ней правда очень нравится вход - http://mkeia1340.republika.pl/_Pliki/AOC2K.pdf
Посмотрите на C107 и C109 - это простейший перестраиваемый фильтр. Так автор борется с алиасами. К сожалению с FIFO (и не только - для переменной дискретизации) это единственный метод борьбы. В приборах же на ПЛИС дискретизация всегда максимальная, просто отсчеты прореживаются внутри ПЛИС - считается минимум и максимум обычно или просто усредняются. Заодно это помогает не снижать дискретизацию для АЦП. А они обычно конвейерные, и имеют минимально допустимую частоту. Причем иногда довольно высокую. Тот же AD9288, вроде он рассматривался в этой теме, имеет минимум 1 мегасэмпл. Будет выборка ниже - начнет врать безбожно.
Эх, FIFO мало того что дорого, так еще и очень ограничивает. :( Алиасы очень неприятная штука. Именно из за них многие считают что аналоговый осциллограф лучше цифрового. Хотя в последнее время алиасов в цифровиках уже не бывает - научились бороться.

Добавлено (20.04.2011, 13:22)
---------------------------------------------

Quote (Муха)
Мурата делает подстроечные конденсаторы серии СТС-05 на 400 В постоянного тока. Миниатюрные и достать не проблема.

То подстроечные, а нужен то обычный. Я подстроечный на 47 нанофарад не представляю себе. Вот с емкостью в 1000 раз меньше - легко.
 
Муха Среда, 20.04.2011, 13:52 | Сообщение # 1085
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Quote (kison)
То подстроечные, а нужен то обычный. Я подстроечный на 47 нанофарад не представляю себе. Вот с емкостью в 1000 раз меньше - легко.

Тьфу ты :) Я че-то про подстроечный подумал... Действительно, подтроечник на 47 нан я себе тоже не представляю :)
 
kison Среда, 20.04.2011, 16:54 | Сообщение # 1086
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Не ту ссылку дал. Вход отличный тут - http://mkeia1340.republika.pl/_Pliki/WOC2K.pdf
И фильтры там тоже есть.
 
LeftRadio Среда, 20.04.2011, 18:52 | Сообщение # 1087
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Это правильное решение :) Если понадобится закрытый вход всегда можно конденсатор снаружи подключить.

Согласен, или придется до непреличия раздувать корпус из-за колобах, слово смешное ей богу

С триггером разобрался, тоже так как Вы написали подумал сделать, спасибо!
Вход по приведенной ссылке действительно интересный, но к сожалению громоздкий в плане места на ПП и обьема, тем более для двух каналов.
Про прореживание знаю и для чего делается тоже :) , как Вы и написали что бы АЦП всегда работал на макс. частоте выборки что значительно упрощает фильтр, но к данной конструкции неприменимо по понятным причинам, практически идеальное решение было бы поставить ADRF6510, но к сожалению имеет большое потребление и управление усилением сразу для двух каналов :(


---

Нет войне!


Сообщение отредактировал LeftRadio - Среда, 20.04.2011, 18:57  
 
kison Среда, 20.04.2011, 22:53 | Сообщение # 1088
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
С триггером разобрался, тоже так как Вы написали подумал сделать, спасибо!

Там получается интересная фича - так как большинство АЦП конвейерные, то триггер способен поймать сам момент синхры в FIFO. Ну нарастает сигнал, срабатывает триггер и начинается оцифровка в буфер. Но данные на момент срабатывания еще внутри АЦП и выйдут оттуда через несколько тактов. Через сколько зависит от длины конвейера. Будет даже предыстория в пару выборок.
Quote (LeftRadio)
идеальное решение было бы поставить ADRF6510, но к сожалению имеет большое потребление и управление усилением сразу для двух каналов

Потребление не так и страшно, можно отключать когда не нужно. Что регулировки совмещенные - хуже. Нужно два. Это двойные цена и потребление. Да, тупичок.
Сам VGA подобрать несложно, у AD их много. Подходящие AD8330, AD8370. C фильтром вот сложнее. И чего Вы так любите ФИФО?
 
LeftRadio Четверг, 21.04.2011, 03:07 | Сообщение # 1089
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Через сколько зависит от длины конвейера. Будет даже предыстория в пару выборок.

У LTC2288/89 это 5 циклов.

Quote (kison)
И чего Вы так любите ФИФО?

дело не в том что люблю, просто дизайн осцилла изначально был с ФИФО, над CPLD+SRAM например, думал конечно, но с ПЛИС дела никогда не имел, какой ПЛИС будет достаточно? FPGA использовать очень не хочется по причине необходимости конфигуратора. Да и если сейчас перелопачивать дизайн под ПЛИС разработка еще затянется, не знаю даже, если у Вас есть опыт работы с ПЛИС это конечно проще :)

Может EPM3256ATC144-10N подойдет? Да и хватит ли 144 ноги для всего, нужно прикинуть....


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 21.04.2011, 09:37  
 
Девятый Четверг, 21.04.2011, 08:05 | Сообщение # 1090
Почетный Гражданин
Группа: Друзья
Сообщений: 181
Статус: Offline
Всем привет. Идея с плис очень понравилась. kison респект и уважуха.

Сообщение отредактировал Девятый - Четверг, 21.04.2011, 10:04  
 
Муха Четверг, 21.04.2011, 12:42 | Сообщение # 1091
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Тогда уж ставить Cyclon II или Spartan III например, туда МК можно впихнуть и FIFO. Тогда вот есть смысл заморачиваться на ПЛИС, потому что практически все заменяет один кристалл.

Сообщение отредактировал Муха - Четверг, 21.04.2011, 13:00  
 
LeftRadio Четверг, 21.04.2011, 14:38 | Сообщение # 1092
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Оно конечно удобней все в одном :) но по цене Cyclon II/Spartan III + конфигуратор дороже чем STM32F100+CPLD+SRAM, я не агитирую за решение с ПЛИС, но заменить ФИФО простеньким CPLD+SRAM весьма заманчиво учитывая что получаем значительно больший объем памяти и легче решить проблему фильтров так как АЦП всегда работает на макс. частоте, еще как вариант можно взять совсем простую 44-х ногую CPLD типа XC9572 и с ее помощью сделать триггер, прореживание отсчетов и интерлив, не трогая связку АЦП-ФИФО-МК...

---

Нет войне!
 
kison Четверг, 21.04.2011, 15:00 | Сообщение # 1093
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
LeftRadio
Я смотрю я к Вам зашел, теперь Вы ко мне :D И как только нашли мой форум?
Я тоже возродил свой проект осцилла. Мы прошлым летом на казусе обсуждали, потом стало не до этого. Но он у меня частично в железе собран. Аналог простейший - два ОУ и аттенюатор на 74hc4051. ОУ - AD8065 и AD8129. Мозг LPC1768. Сердце epm570+ОЗУ 2*128Кб+AD9283
SD карта, USB без развязки :) , max1555 зарядкой, кнопка включения - транзистор+ два диода + один вывод контроллера. Он сам себе питание включает :)
Часть с контроллером, зарядкой и кнопками уже работает, но я сейчас распаиваю - в плате ошибки - буду переделывать. Во первых с индикатором, я смотрю Вы тоже попались на неверную распиновку. :( Ну и еще есть неприятные. Плату с CPLD я пользовал только как голый CPLD. Т.е. как отладку для других дел, не для осциллографа. Точнее как учебное пособие для изучения Верилога. :) Сейчас буду допаивать память и остальное. АЦП у меня еще нет и самое неприятное что нет реле для входного делителя. :(
Индикатор тот же, что и у Вас. Я в свое время долго мелтовцев пытал как его перевести в 8-ми битный режим на их форуме. 16 бит много, выделить 16 линий именно последовательно жалко, а если разбить на части, то вывод будет даже медленней, чем через 8 бит.
Так что вот сейчас сделаю новые платы, Вы наверно уже знаете - как раз ко мне заходили, распаяю, проверю и выложу у себя. И буду делать потихоньку.

Quote (LeftRadio)
FPGA использовать очень не хочется по причине необходимости конфигуратора

Не всегда. Семейство MAXII хоть и называется CPLD, однако на самом деле это FPGA. Флеш внутри. Есть еще спартан 3AN - этот уже и называется FPGA, но тоже имеет флеш на борту. Они слабенькие, но если не делать софтовый процессор внутри, то на осциллограф их хватит с избытком. Даже epm570
 
LeftRadio Четверг, 21.04.2011, 15:17 | Сообщение # 1094
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Я тоже возродил свой проект осцилла. Мы прошлым летом на казусе обсуждали, потом стало не до этого.

Давно заочно знаю Вас по казусу Вот именно оттуда и нашел :)

Quote (kison)
Во первых с индикатором, я смотрю Вы тоже попались на неверную распиновку.

Не совсем понял, почему не верная? Подключил все по распиновке работает как надо :) Единственное пришлось перепаять резистор на гибком шлейфе.

Quote (kison)
выделить 16 линий именно последовательно жалко

Решилось применением STM32 как раз 16-ти битная шина :) зато вывод будет в разы быстрее.

Quote (kison)
Так что вот сейчас сделаю новые платы, Вы наверно уже знаете - как раз ко мне заходили, распаяю, проверю и выложу у себя. И буду делать потихоньку.

Нет, еще не заметил ветки про осцилл :) Заходил по поводу плат.

Quote (kison)
Не всегда. Семейство MAXII хоть и называется CPLD, однако на самом деле это FPGA. Флеш внутри. Есть еще спартан 3AN - этот уже и называется FPGA, но тоже имеет флеш на борту.

Спасибо, не знал про флеш.


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 21.04.2011, 15:24  
 
LeftRadio Четверг, 21.04.2011, 15:27 | Сообщение # 1095
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Ткните носом, интересно было бы глянуть, но что то не нахожу у Вас где про осцилл почитать :)

---

Нет войне!
 
kison Четверг, 21.04.2011, 15:57 | Сообщение # 1096
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Не совсем понял, почему не верная? Подключил все по распиновке работает как надо

Вот это странный момент. В документации вывод 23 индикатора DB0. Смотрим Вашу же схему из шапки - туда идет Q7. Если подключить как в доке - байт будет развернутым. Или в 16 битной шине все соответствует?

Quote (LeftRadio)
Решилось применением STM32 как раз 16-ти битная шина зато вывод будет в разы быстрее.

А зачем? Через 8 бит можно обновить индикатор 48 раз за секунду. Через 16 бит - 96 раз. Осциллографу же достаточно 10 кадров в секунду. Если обновлять не весь экран, а только область осциллограммы, так FPS и еще больше выйдет. Вот только это не нужно. 100 кадров тут как корове седло.
А вот ног нужно потратить в два раза больше.
Quote (LeftRadio)
Нет, еще не заметил ветки про осцилл Заходил по поводу плат.

Ее еще и нет. Платы поправлю, распаяю и выложу. Я все равно схему и принцип менять уже не буду, я пытался, но неумолимый 62256 с казуса всегда разбивал мечты вдребезги. Например о регулировке Ку усилителя с помощью резисторов и мультиплексора в обратной связи. :) Это как раз один из Ваших вариантов. VGA рассматривали - но для небольшой полосы они слишком дорогое удовольствие. Отказались. Там была большая ветка, ее порезали потом, но много чего ценного в ней все равно есть. От ключей MAX на входе тоже пришлось отказаться. Вы прошли почти той же дорогой, что и мы прошлым летом. И я даже знаю куда придете :) К реле во входном делителе!

Добавлено (21.04.2011, 15:57)
---------------------------------------------

Quote (LeftRadio)
Ткните носом, интересно было бы глянуть, но что то не нахожу у Вас где про осцилл почитать

Нету еще, рано.
А аналог я выкладывал на казусе - лень искать. Изменилось с тех пор немного - в качестве усилителя и буфера АЦП вместо AD8132 стоит 8129
Там правда не моя тема, а Урагана. Портативный осциллограф или как то так. Вообще если не видели почитайте. Особенно сообщения 62256, он метролог.


Сообщение отредактировал kison - Четверг, 21.04.2011, 15:58  
 
LeftRadio Четверг, 21.04.2011, 16:13 | Сообщение # 1097
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Смотрим Вашу же схему из шапки - туда идет Q7

В схеме ошибка, на 23 идет Q0, все работает :) Просто забыл поправить схему.

Quote (kison)
Осциллографу же достаточно 10 кадров в секунду. Если обновлять не весь экран, а только область осциллограммы,

Да, но МК будет тратить в два раза меньше времени на пересылку, значит останется больше ресурсов на все остальное, в принципе 51-е ядро вполне справляется с выводом, по прикидке кадров 30 точно есть, обновляется только осциллограмма, но все же...

Quote (kison)
Там правда не моя тема, а Урагана. Портативный осциллограф или как то так. Вообще если не видели почитайте. Особенно сообщения 62256, он метролог.

Да видел, нужно найти и перечитать :)

Quote (kison)
Вы прошли почти той же дорогой, что и мы прошлым летом. И я даже знаю куда придете :) К реле во входном делителе!
Мне все же кажется для портативного осцилла VGA оптимальный вариант в плане компактности. А почему резисторы в обратной связи плохи?


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 21.04.2011, 16:16  
 
kison Четверг, 21.04.2011, 16:38 | Сообщение # 1098
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Да, но МК будет тратить в два раза меньше времени на пересылку, значит останется больше ресурсов на все остальное, в принципе 51-е ядро вполне справляется с выводом, по прикидке кадров 30 точно есть, обновляется только осциллограмма, но все же...

Все же - есть лишние ноги и нужно занять место на плате? Тогда да.
У меня контроллер в 100 ногом корпусе. Свободными остались около 5. Все остальное занято. И я придумаю куда эти оставшиеся приспособить :) Пригодятся однозначно. Ног лишних не бывает.
Quote (LeftRadio)
В схеме ошибка, на 23 идет Q0

Забавно. Я уже забыл что ли. Просто на индикатор убил две недели. Сейчас стал смотреть про него поиском и как раз сюда попал. Я байт и разворачивал и тетрады менял и вместо D0-D7 перекидывал на D8-D16. Соплей понавешал и дорожки попортил. Индикатор все же включился. Проблема была как раз в слишком быстром выводе. Но мне почему то казалось что и байт я развернул. Гы - если нет, то надо не переделывать, а сделать ту же но уже нормально :)

Quote (LeftRadio)
Мне все же кажется для портативного осцилла VGA оптимальный вариант в плане компактности. А почему резисторы в обратной связи плохи?

Из за изменения полосы пропускания. Пример - смотрите меандр, он замечательно выглядит, но мелковат - 2 деления. Переходите на более чувствительный предел, например в 2,5 раза подняв чувствительность и тут... полоса уменьшается. И вместо красивого прямоугольника на экране сглаженное нечто. Прибор не должен изменять полосу при изменении усиления. Полоса в осциллографе - та же форма сигнала. Я кстати смотрел Ваш ОУ - MAX4212E. Максим как то обходит тему полосы при разных усилениях. Но вот посмотрите например для тех, кто не стыдится приводить цифры. Например тот же AD8065. При единичном усилении полоса 145МГц. При Ку=10 уже около 7МГц. В 20 раз меньше! А полоса ухудшается не только в усилителе. Для него можно выделить 1дБ ослабления. И полоса становится вообще 2МГц. Ну это фиговый ОУ для использования как усилитель. Но тенденция у всех такая - больше Ку - меньше полоса. Кроме VGA, они корректируют свою АЧХ в зависимости от усиления. Это очень хорошо, но дорого все же. У меня по прикидкам все детали меньше 3т.р. А два VGA типа 8030 стоят пара почти 600р. Это 20% стоимости прибора. ИМХО - много. Тем более что им еще нужен лишний аналоговый канал - ШИМ с фильтром или ЦАП. Тоже лишние место и детали.


Сообщение отредактировал kison - Четверг, 21.04.2011, 16:44  
 
LeftRadio Четверг, 21.04.2011, 16:52 | Сообщение # 1099
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
По ЖК точно распиновка в доке правильная, правда я тоже убил много времени на запуск, уже начал думать что брак Кстати инициализацию проходит вообще без задержек рекомендованных в доке и ничего нормально :)

Лишних выводов у МК нет, да место на плате доже дефицит, но главное преимущество - экономия ресурсов МК которые можно потратить на автоизмерения например. В два раза меньше циклов передачи данных, при обновлении осциллограммы затирается прошлая, затем выводится следующая, итого две осциллограммы на канал - 1600 байт при 16-ти битном цвете, 3200 пересылки по 8-ми битной шине.

То что полоса уменьшается это понятно, я думал еще какие причины :) . Максим эту тему не обходит, для MAX4212 стр.11 даташита при к.у. +10 полоса сужается до 11МГц, в инвертирующем включении лучше - 25МГц. По поводу цены на VGA согласен, дорого. Интересно было бы взглянуть к какому варианту пришли Вы, да и какие реле использовать собираетесь, высокочастотные которые видел все в немаленьких корпусах...


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 21.04.2011, 16:57  
 
kison Четверг, 21.04.2011, 17:10 | Сообщение # 1100
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Лишних выводов у МК нет, да место на плате доже дефицит, но главное преимущество - экономия ресурсов МК которые можно потратить на автоизмерения например.

Что их - солить эти ресурсы? У меня 100МГц 32 бита ядро. :) Обсчитать тысяч 30 точек много времени не займет. И вывести потом - 5 миллисекунд максимум.

Quote (LeftRadio)
Максим эту тему не обходит для MAX4212 стр.11 даташита при к.у. +10 полоса сужается до 11МГц

Обходит. Это малосигнальная полоса, для выхода в 0,1В пик-пик или 0,2В. Для больших сигналов это можно делить на 3. С учетом того, что нельзя все -3дБ потерь отдать усилителю, остальной тракт ведь тоже валит АЧХ понемногу, получаем полосу примерно в 2МГц максимум. Отличная цифра! А у Вас там вообще усиление 20...
Quote (LeftRadio)
Интересно было бы взглянуть к какому варианту пришли Вы

На казусе схема есть. Почти не изменилась. Делитель (/1 и /50)- реле - AD8065 - низкоомный многоотводный делитель - 74hc4051 - AD8129 c Ку 10 фиксированным и встроенным ФНЧ 1 порядка - RC цепь ( чтоб хоть -12дБ на октаву получить)- АЦП Ad9283. На 8129 также сделан сдвиг сигнала вверх-вниз.
Сам принцип построения взят от советского аналогового осциллографа. Я пытался что то улучшить - типа регулируемого Ку усилителя. Но всегда получалось хуже. Там на Казусе это все в лицах, как спектакль :) Я: а давайте Ответ: Так не выйдет :p
Реле высокочастотное не надо. Высокочастотное это за 1ГГц. Впрочем и они есть маленькие - G6KU - http://www.alldatasheet.com/datashe....-Y.html
Или IM41GR, эти не высокочастотные, но для 15МГц полосы их хватит за глаза. Они у меня и заложены, только купить их сложно. Можно G6KU поставить, они совместимы, но у нас только через Фарнелл по 500р штучка. Еще посмотрите схемы, что я выше выкладывал. Там тоже очень небольшие реле.


Сообщение отредактировал kison - Четверг, 21.04.2011, 17:22  
 
Муха Четверг, 21.04.2011, 17:23 | Сообщение # 1101
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Quote (LeftRadio)
заменить ФИФО простеньким CPLD+SRAM весьма заманчиво

Можно смотреть в сторону EPM240T100С5, простенький CPLD, флеш внутри.
Этот камень разжеван на www.marsohod.org. Память 10 наносекундная наверное нужна?
 
kison Четверг, 21.04.2011, 17:49 | Сообщение # 1102
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (Муха)
Можно смотреть в сторону EPM240T100С5, простенький CPLD, флеш внутри.

Слишком мало ног, корпус только QFP100.
Память у меня cy7c1011dv33, CPLD epm570 в 144 ногом корпусе
Я свой поделил на две платы. Одна - процессор, индикатор, кнопки (12 штук :) ), microSD карта, USB, аккумулятор.
На второй собственно плис, АЦП, ОЗУ и аналог. Если захочется переделать вход или часть с плисиной - можно только одну плату переделать. Ну тут еще из за корпуса так вышло, я делал сразу под корпус. Просто плата не более чем игрушка. А вот в корпусе - прибор. И нужно чтоб крепления подходили, разъемы были там где нужно и т.д.
Из лишнего на плате - аналоговый выход с ЦАП контроллера, два ШИМ сигнала в качестве управляемого генератора и выведен UART через буфер. Фиг знает - может пригодится.


Сообщение отредактировал kison - Четверг, 21.04.2011, 17:59  
 
Муха Четверг, 21.04.2011, 18:46 | Сообщение # 1103
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Quote (kison)
Память у меня cy7c1011dv33, CPLD epm570 в 144 ногом корпусе

А схему можно где-то посмотреть?
 
kison Четверг, 21.04.2011, 19:02 | Сообщение # 1104
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (Муха)
А схему можно где-то посмотреть?

Она еще не готова к выкладыванию. Не причесана так сказать.
Но приложу как есть.
Это часть с CPLD/RAM/ADC и аналогом.
На схеме другая ОЗУ, я на плате потом добавлял одну линию адреса. Сейчас ОЗУ 128Кх16 бит
ОУ как буфер не тот, сейчас AD8065. OPA354 не может работать от +-3,3В. По ногам они совместимы, вот и не поменял. Когда нормально буду выкладывать у себя все причешу - так чтоб типы элементов совпадали с реальностью. Ну и номиналы расставлю, либо перечень напишу.
Прикрепления: P-CAD_EDA-Sheet.pdf (179.7 Kb)


Сообщение отредактировал kison - Четверг, 21.04.2011, 19:10  
 
Муха Четверг, 21.04.2011, 19:30 | Сообщение # 1105
Почетный Гражданин
Группа: Модераторы
Сообщений: 579
Статус: Offline
Буферный ОУ перед АЦП не стали ставить? Вообщем схема понятная, непонятен узел на диодах VD5-VD12. На программатор похоже :)

Сообщение отредактировал Муха - Четверг, 21.04.2011, 19:35  
 
kison Четверг, 21.04.2011, 20:17 | Сообщение # 1106
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (Муха)
Буферный ОУ перед АЦП не стали ставить?

AD8129 как раз и есть буферный ОУ. Просто он же еще и усилитель. Долго искали вариант с минимумом ОУ в тракте. Сейчас их всего два. Но при этом чувствительность 10мВ/дел-5В/дел без внешнего делителя. Подать можно с делителем +-500В или однополярный 1кВ. :)
Полоса конечно всего 10МГц выходит, ну да карманному хватит.
Quote (Муха)
непонятен узел на диодах VD5-VD12. Это Вы от кого так защищаетесь?

Это вход 8-ми канального логического анализатора. Диоды - защита от неверной полярности или превышения сигналом уровня в 5,5В. Иначе буфер сгорит. Все же можно сдуру туда и отрицательное напряжение подать, так хоть не сгорит.
Я думал основные вопросы вызовут С29 и С35 :)

Добавлено (21.04.2011, 20:17)
---------------------------------------------

Quote (LeftRadio)
У LTC2288/89 это 5 циклов.

Кстати о АЦП - эти не годятся для работы с FIFO. У них минимум 1 мегасэмпл.

Quote (LeftRadio)
итого две осциллограммы на канал - 1600 байт при 16-ти битном цвете, 3200 пересылки по 8-ми битной шине.

Прикинул для случая если стирать старую осциллограмму. 3200 пересылок по 100нС - 320 микросекунд. Перерисовать можно 3000 раз за секунду. Вам правда мало, Вы хотите 6000 раз прорисовывать... :p Ну куда быстрее то?
На самом деле не так конечно. Затирка старой осциллограммы требует установить адрес пикселя. Так что все в 3 раза медленней. Но и 1мС на перерисовку - неужели много???
Я правда перерисовывать собирался целиком, не затирая старую. Потому что рисуется не точками, а линиями. А это значит что адрес пикселя придется устанавливать не 1600 раз, а больше.


Сообщение отредактировал kison - Четверг, 21.04.2011, 20:37  
 
LazyCat Четверг, 21.04.2011, 20:56 | Сообщение # 1107
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Вау, какое здесь оживление ! kison внес очень мощную свежую струю и здесь стало почти как на казусе :)
Позвольте и мне внести свои пять копеек.
1. по поводу закрытого входа: у всех китайских поделок(кстати с возможностью закрытого входа) в инструкции красным шрифтом написано, что макс. входное напряжение 30V(понятно почему). И в этом осцилле подразумевалось такое же ограничение, а вот внешний делитель должен был быть именно делителем, а не простым резистором на 9 МОм. Но раз уж решили делать только открытый вход, то пусть так и будет
2. на счет интерлива все уже само выяснилось - режим нужный только на максимальной частоте дискретизации и для наблюдения сигналов с максимальным спектром, все остальное перекрывает SinX/X !!! Кстати, в китайской схеме, что я приводил, используются 2 АЦП и оба в интерливе !
3. по поводу перехода на плис я полностью поддерживаю высказывание:
Quote (LeftRadio)
заменить ФИФО простеньким CPLD+SRAM весьма заманчиво учитывая что получаем значительно больший объем памяти и легче решить проблему фильтров так как АЦП всегда работает на макс. частоте, еще как вариант можно взять совсем простую 44-х ногую CPLD типа XC9572 и с ее помощью сделать триггер, прореживание отсчетов и интерлив, не трогая связку АЦП-ФИФО-МК...

4. еще я категорически за использование VGA - это сильно упрощает дело !

P.S. по поводу совпадения дней рождения: по теории вероятностей в группе из 25 человек у двоих совпадут даты рождений с вероятностью 50% , значит нас уже больше 25

Сообщение отредактировал LazyCat - Четверг, 21.04.2011, 20:59  
 
kison Четверг, 21.04.2011, 21:14 | Сообщение # 1108
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
а вот внешний делитель должен был быть именно делителем, а не простым резистором на 9 МОм. Но раз уж решили делать только открытый вход, то пусть так и будет

Это ж стандартный щуп с переключателем. И там всего лишь резистор. Он как бы удлиняет встроенный делитель вверх.

Quote (LazyCat)
на счет интерлива все уже само выяснилось - режим нужный только на максимальной частоте дискретизации и для наблюдения сигналов с максимальным спектром, все остальное перекрывает SinX/X !!!

Режим нужный только если удастся полосу аналогового тракта расширить. Это сложно, поэтому смысла нет делать. Не ограничивать полосу - алиасы. Вообще перестраиваемый фильтр все же перебор для карманника. Значит и интерлив тоже.

Quote (LazyCat)
P.S. по поводу совпадения дней рождения: по теории вероятностей в группе из 25 человек у двоих совпадут даты рождений с вероятностью 50% , значит нас уже больше 25

Я ее плохо помню, но дней в году 365. Для совпадения с 50% вероятностью людей понадобится больше 700. :)

Добавлено (21.04.2011, 21:14)
---------------------------------------------

Quote (LazyCat)
4. еще я категорически за использование VGA - это сильно упрощает дело !

Не сильно. Избавитесь от нескольких резисторов и мультиплексора за 5р. Кроме того у мультиплексора есть и другая функция - замкнуть вход на землю. После этого калибруем offset. Если будет VGA, то надо ключ чтоб коротить вход VGA. Если offset не на VGA, а сигнал это не должен проходить через усилитель с переменным Ку, то придется коротить выход VGA. Ну и соответственно здравствуй третий ОУ. VGA ничего не упрощает, а всего лишь дает возможность получить гораздо лучшую полосу аналогового тракта. Можно и на 100МГц замахнуться. Но и дискретизация понадобится в пол гигасэмпла. Для карманника это не нужно. Так можно Ригол по цене перегнать. Я очень люблю ветку с хобота - там народ гигантоманией страдает. Если делать, так сразу с полосой в 500МГц :) В результате за 7 лет даже не начали. А чтоб ветку прочитать надо полдня потратить. :)
 
LazyCat Четверг, 21.04.2011, 21:17 | Сообщение # 1109
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
Это ж стандартный щуп с переключателем. И там всего лишь резистор.

Именно, предполагался нестандартный щуп !!! Он мог быть даже активным, но теперь это уже не важно !

Quote (kison)
Вообще перестраиваемый фильтр все же перебор для карманника. Значит и интерлив тоже.

Если Вы заметили, то я всегда имел ввиду в первую очередь не карманник !!! К тому же у известных мне карманников этот режим есть(я схему приводил), а по поводу ограничения полосы аналогового тракта я с Вами абсолютно согласен, но теперь ведь идет разговор про неизменность частоты дискретизации, а это кардинально меняет дело ! Или я не так понял ?

Quote (kison)
Для совпадения с 50% вероятностью людей понадобится больше 700.

Читайте в гугле о "парадоксе дней рождения"

По поводу VGA категорически с Вами не согласен. Упрощение есть. Вы сами сказали, что это просто дает возможность расширить полосу пропускания, значит для того, чтобы получить такие же характеристики на Вашей рассыпухе придется городить огород и без гарантии успеха. Сравнивать ВСЕГДА нужно СРАВНИМОЕ !!! Но не это даже главное.
И по поводу offset Вы не правы. Как показывает практика основное смещение дает входной буфер из-за очень высокоомного входного делителя. Мы тут уже это обсуждали и потому перешли на AD8065 и что-то там коротить после него просто глупо !

Сообщение отредактировал LazyCat - Четверг, 21.04.2011, 21:28  
 
kison Четверг, 21.04.2011, 21:52 | Сообщение # 1110
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
но теперь ведь идет разговор про неизменность частоты дискретизации, а это кардинально меняет дело ! Или я не так понял ?

Она меняется из за интерлива. Какая разница - один АЦП или много - выборок за секунду становится больше. Значит нужно расширять полосу или не вводить интерлив.
Quote (LazyCat)
Именно, предполагался нестандартный щуп !!!

А что в этом хорошего?
Quote (LazyCat)
Читайте в гугле о "парадоксе дней рождения"

Ясно :) Я чего то тоже попал в "распространенное заблуждение" :)

Quote (LazyCat)
И по поводу offset Вы не правы. Как показывает практика основное смещение дает входной буфер из-за очень высокоомного входного делителя. Мы тут уже это обсуждали и потому перешли на AD8065 и что-то там коротить после него просто глупо !

Буфер дает ошибку, очень небольшую. Ну пусть на 1мВ сдвинет сигнал, реально меньше. Смещение же сдвигает сигнал на +-1,5В. В 1500 раз сильнее. Минимальная погрешность в ШИМ например, а там промахнуться на пару процентов легко из за нестабильности питания например, или из за не очень точных резисторов, и измерения сигнала станут невозможны. Поэтому калибруется именно offset. А буфер пусть себе остается не калиброванным. Хотя есть приборы, где коротится именно вход буфера.

Добавлено (21.04.2011, 21:52)
---------------------------------------------

Quote (LazyCat)
Если Вы заметили, то я всегда имел ввиду в первую очередь не карманник !!!

Где я мог это заметить? :)
Кроме того не карманник лично мне не интересен. Китайцы продают на эбее за 120$ уже готовый двухканальный с 250 мегасэмплами, развязкой и полосой в 40МГц. Какой смысл пытаться сделать хуже и дороже? Нет экрана и ценность прибора стремится к 0. Наличие экрана не мешает работать с PC, т.е. ничем не ограничивает. Отсутствие - ограничивает, и сильно. Да и есть у меня цифровик уже. Большой правда. И уже старенький. Но все равно 250 мегасэмплов тянет. Но вот с собой его не возьмешь.
 
LazyCat Четверг, 21.04.2011, 21:58 | Сообщение # 1111
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
Значит нужно расширять полосу

Так никто и не говорит о сужении полосы(в схеме, которую я приводил даже конденсатора нет) !!! Или мы друг друга не понимаем !

Quote (kison)
А что в этом хорошего?

А разве непонятно ? Имеем компактный полноценный девайс, а при необходимости можем воспользоваться внешним компактным делителем ! Что в этом плохого ?

Quote (kison)
Буфер дает ошибку, очень небольшую.

Значит у меня уникальные практические результаты :( Либо мы под offset понимаем разные вещи, либо Вы невнимательно смотрели схему осцилла !

Quote (kison)
Где я мог это заметить?

Извините, я забыл, что Вы новичок на форуме :( Чтобы понять мою позицию, нужно много страниц прочитать. Я за универсализм. Именно поэтому за 9 бит, хотя чисто карманнику и 8 достаточно.


Сообщение отредактировал LazyCat - Четверг, 21.04.2011, 22:02  
 
kison Четверг, 21.04.2011, 22:25 | Сообщение # 1112
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
Так никто и не говорит о сужении полосы(в схеме, которую я приводил даже конденсатора нет) !!! Или мы друг друга не понимаем !

А сужать придется. Иначе картинки будут забавными. В сообщении 1077 давал ссылку на вики - http://hobby-research.at.ua/forum/2-1-1498-16-1303224965
Давайте по полочкам разложим. Пусть есть прибор с дискретизацией в 65 мегасэмплов. Очевидно что нужно отсечь все, что выше 32,5МГц.
Все хорошо. Теперь вводим интерлив -130 мегасэмплов. И ограниченная полоса нас уже не устраивает. Теперь нужно отсекать все что выше 65 мегагерц. Значит - перестраивать фильтр.

Quote (LazyCat)
А разве непонятно ? Имеем компактный полноценный девайс, а при необходимости можем воспользоваться внешним компактным делителем ! Что в этом плохого ?

Если щуп будет стандартным разве прибор подрастет? Наверно нет. А щупы эти бывают разных размеров. Можно и самодельный сделать - противоречия ведь нет. Тоже будет с резистором в 9МОм и подстроечным конденсатором. Размер - любой. А надоест самопал - стандартный можно воткнуть. Свобода выбора.
Quote (LazyCat)
Значит у меня уникальные практические результаты

Это о чем? У Вас AD8065 дает большой сдвиг???? Там входной ток пикоамперы.

Quote (LazyCat)
Либо мы под offset понимаем разные вещи, либо Вы невнимательно смотрели схему осцилла !

Какую схему? Их в этой ветке штук 10 наверно.

Quote (LazyCat)
Именно поэтому за 9 бит, хотя чисто карманнику и 8 достаточно.

offset как бы увеличивает разрядность. Без него - 8 бит, с ним - 9. А храним 8. Красота :)
 
LazyCat Четверг, 21.04.2011, 23:28 | Сообщение # 1113
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
Давайте по полочкам разложим.

Как-то извращенно Вы все понимаете :( Попробую разъяснить за один заход. Перестраиваемый ФНЧ высокого порядка - это очень хорошо, но удел только высококачественных и дорогих приборов. Его частота среза должна соответствовать частоте Найквиста, т.е. половине частоты дискретизации. Это понятно. Можно поставить и ступенчатый фильтр, мы его здесь обсуждали(и кстати на казусе тоже вместе с 62256), но это совершенно излишне для простого и дешевого устройства. Интерлив же предназначен для получения эффекта дискретизации с частотой вдвое превышающую максималку дешевого АЦП и больше ни для чего !!!

Quote (kison)
Свобода выбора.

Я уже понял, что Вас вполне устраивает (осцилл с открытым входом)+(стандартный щуп)+(огромная балабаха на 630V), но другим нужны решения и по-изящнее :)

Quote (kison)
Какую схему?

Так что же мы тогда обсуждаем без предмета обсуждения ???

Quote (kison)
offset как бы увеличивает разрядность. Без него - 8 бит, с ним - 9. А храним 8. Красота

Вот это можно по-подробнее ?
 
kison Пятница, 22.04.2011, 00:05 | Сообщение # 1114
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
Интерлив же предназначен для получения эффекта дискретизации с частотой вдвое превышающую максималку дешевого АЦП и больше ни для чего !!!

Правильно. Я все это понимаю. Не понимаю я другое. Не для чего интерлив, а зачем?Дискретизация ради дискретизации? Вот модули UART в контроллерах. Обычно выборка идет в 16 раз чаще, чем битовый интервал. Если сделать в 10000 раз чаще будет лучше? Передача то идет все с той же скоростью. И на выходе мы получим одно и то же. Ровно так и с интерливом. Если аналоговый тракт сделан под 65 мегасэмплов, то только поднятие дискретизации ничего не даст. Так вот чего я как раз не понимаю - зачем тогда ее вообще делать?

Quote (LazyCat)
Я уже понял, что Вас вполне устраивает (осцилл с открытым входом)+(стандартный щуп)+(огромная балабаха на 630V), но другим нужны решения и по-изящнее

Поизящнее это как? Я в своем менять ес-но уже ничего не буду, но мне просто интересно. Против самого закрытого входа я не возражаю. Себе не сделаю, потому что он редко нужен, и габарит конденсатора меня не устраивает.
Quote (LazyCat)
Вот это можно по-подробнее ?

Легко. Сразу после того, как Вы расскажете зачем вам 9-ый бит :)
Я Вам расскажу как получить то же, но с 8-ю битами. А может даже и побольше. :)


Сообщение отредактировал kison - Пятница, 22.04.2011, 00:06  
 
LazyCat Пятница, 22.04.2011, 00:28 | Сообщение # 1115
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
аналоговый тракт сделан под 65 мегасэмплов

Скорее всего автор опечатался и имел ввиду кондеры по 22pF, когда появится пояснит.

Quote (kison)
Поизящнее это как?

Я же подробно изложил один из вариантов нестандартного щупа. И не думайте, что (стандартный щуп)+(огромная балабаха на 630V)=(некий стандарт). Лично мне часто приходится иметь дело с сигналами с постоянной составляющей, а подробно рассматривать нужно только верхушку. Но можно и устроить голосование на форуме по закрытому входу :)

Quote (kison)
Легко. Сразу после того, как Вы расскажете зачем вам 9-ый бит

Считайте, что уже рассказал, учитывая мое желание подключать осцилл к персоналке ;)
 
kison Пятница, 22.04.2011, 00:47 | Сообщение # 1116
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Что тут за гнусный движок? Как тут поиском пользоваться?
Надо же найти где про нестандартный щуп было. Листать 50 страниц откровенно лень.

Добавлено (22.04.2011, 00:47)
---------------------------------------------

Quote (LazyCat)
а подробно рассматривать нужно только верхушку. Но можно и устроить голосование на форуме по закрытому входу

Зачем? Режим полезный и если возможность есть надо вводить. У меня - нет возможности. Корпус маленький и плата давно разведена.

Quote (LazyCat)
Считайте, что уже рассказал, учитывая мое желание подключать осцилл к персоналке

Ну вот как раз пересекается с Вашим желанием рассматривать только верхушку. Допустим есть меандр с размахом в 5В пик-пик. Нас интересует только верхняя полочка. Устанавливаем чувствительность 0,2В/дел и сдвигаем сигнал вниз. Мы видим не все, а только то, что интересует. Причем все 8 бит использованы на часть входного сигнала. Если оффсета нет, то для аналогичной по качеству картинки понадобилось бы 9,5 бит.
 
LeftRadio Пятница, 22.04.2011, 02:14 | Сообщение # 1117
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Что их - солить эти ресурсы? У меня 100МГц 32 бита ядро. :) Обсчитать тысяч 30 точек много времени не займет. И вывести потом - 5 миллисекунд максимум.

Убедили :) Про реле понял, IM41GR действительно нигде нет кроме одного магазина под заказ...

Quote (kison)
Полоса конечно всего 10МГц выходит, ну да карманному хватит.

А что полосу ограничивает? 4051?

Quote (kison)
Кстати о АЦП - эти не годятся для работы с FIFO. У них минимум 1 мегасэмпл.

Годятся, просто не понижать дискретизацию ниже 1МГц и все :)

Quote (kison)
Я правда перерисовывать собирался целиком, не затирая старую. Потому что рисуется не точками, а линиями. А это значит что адрес пикселя придется устанавливать не 1600 раз, а больше.

Не если целиком например нужно перерисовать область экрана, то задаем координаты начального пикселя в нужную позицию, затем начинаем последовательно передавать байты, после пересылки каждого байта контрролер ЖК автоматически инкриминирует позицию курсора на один байт по оси Х если смотреть портретом, если же нужно перерисовывать внутреннюю область, то курсор понадобиться перемещать вручную всего 400 раз или меньше в зависимости от высоты закрашиваемой области.

Quote (LazyCat)
1. по поводу закрытого входа: у всех китайских поделок(кстати с возможностью закрытого входа) в инструкции красным шрифтом написано, что макс. входное напряжение 30V(понятно почему). И в этом осцилле подразумевалось такое же ограничение, а вот внешний делитель должен был быть именно делителем, а не простым резистором на 9 МОм.

Да действительно если использовать стандартный делитель, то на входной емкости и не будет 500В Режим нужно оставить, просто тоже на приборе красным написать "не более 40В" :) kison сбил с толку

Quote (LazyCat)
2. на счет интерлива все уже само выяснилось - режим нужный только на максимальной частоте дискретизации и для наблюдения сигналов с максимальным спектром

Тут дело в чем, в любом режиме частота среза фильтра должна быть 32.5МГЦ, реально 15-20МГц, допустим 20МГц, значит чтобы увидеть меандр нужно чтобы прошла как минимум 5-я гармоника сигнала, а это 4МГц 1-ой гармоники меандр, при 50МГц дискретизации 10 точек на период. Если же включить интерлив, то фильтр перестраивать нельзя так как на каждое АЦП не должна проходить частота выше частоты Найквиста, а значит те-же 4МГц только 20 точек, но эти точки совершенно бесполезны :) так как сигнал можно восстановить минимум из 2-ух, и притом точнее ;)

Quote (kison)
Поэтому калибруется именно offset.

Каким образом? Тем же АЦП?

Quote (kison)
offset как бы увеличивает разрядность. Без него - 8 бит, с ним - 9. А храним 8. Красота :)

Да но как замечал 62256, это может привести к отраженному сигналу, да и как себя поведет АЦП при глубоких перегрузках непонятно :) Какие будут искажения, линейность, переходная характеристика и т.д.? На свой страх и риск :)

Quote (LazyCat)
3. по поводу перехода на плис я полностью поддерживаю высказывание:
Quote (LeftRadio)
заменить ФИФО простеньким CPLD+SRAM весьма заманчиво учитывая что получаем значительно больший объем памяти и легче решить проблему фильтров так как АЦП всегда работает на макс. частоте, еще как вариант можно взять совсем простую 44-х ногую CPLD типа XC9572 и с ее помощью сделать триггер, прореживание отсчетов и интерлив, не трогая связку АЦП-ФИФО-МК...

Хорошо, но какой вариант? CPLD+SRAM? Или XC9572 и связка АЦП-ФИФО-МК?


---

Нет войне!
 
LeftRadio Пятница, 22.04.2011, 02:34 | Сообщение # 1118
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (LeftRadio)
10 точек на период.

Не десять, обшибся :) 12.5 точек, в интерливе соответственно 25.


---

Нет войне!
 
kison Пятница, 22.04.2011, 03:00 | Сообщение # 1119
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
IM41GR действительно нигде нет кроме одного магазина под заказ...

Есть кое где. Вот например - http://www.yeint.ru/component/catalog/good/5-1462037-4%20IM41GR
2,5$ в розницу. Но в розницу не хотят продавать. Хотя от 100 штук - пожалуйста. Зачем тогда розничную цену дают не понятно. Хотя ценник не на сайте, а на efind.ru
Quote (LeftRadio)
Годятся, просто не понижать дискретизацию ниже 1МГц и все

Это не для FIFO.
Quote (LeftRadio)
А что полосу ограничивает? 4051?

Нет - сам ОУ. При Ку 10 и большом сигнале полоса по уровню -1дб там вообще около 8МГц выходит.
Quote (LeftRadio)
Режим нужно оставить, просто тоже на приборе красным написать "не более 40В"

Сдуру включат и привет. Китайский видел внутри. Надпись там кстати 300V CATII. Внутри полипропиленовый кондюк на 1кВ! И механическое реле.
Сейчас Ригол посмотрел. Кондюк не видно. Реле, которое точно для закрытого входа - твердотельное на 400В. В инструкции как раз 30В максимум. 300В выходит с делителем. Похоже и кондер там всего на 400В. В принципе 400В достаточно для Ригола. Хотя запас всегда полезен.
Сделать же внешний делитель как делитель толком не выйдет. Потому как основное его предназначение вовсе не делить сигнал, а увеличивать входное сопротивление и уменьшать входную емкость. Впрочем - выбирать Вам.
Quote (LeftRadio)
реально 15-20МГц

Реально вообще 12-15. Если фильтры не наворачивать.
Quote (LeftRadio)
Каким образом? Тем же АЦП?

Да. Типа АЦП мы верим. Если и ему не верить - нужно внешний точный источник сигнала иметь.
Quote (LeftRadio)
Да но как замечал 62256, это может привести к отраженному сигналу, да и как себя поведет АЦП при глубоких перегрузках непонятно Какие будут искажения, линейность, переходная характеристика и т.д.? На свой страх и риск

Может, но не приводит. Во всяком случае AD таким не пугает. А 62256 можно верить в области метрологии. А вот с такими вопросами он однозначно не сталкивался. Для AD9280 все нормально при выходе сигнала за пределы. Просто зашкал. Думаю что и 9283 такой же. Я хочу в это верить. Нет, я Верю в это :)
И вот еще что подкрепляет уверенность - все осциллографы сделаны примерно на одинаковом принципе. У всех есть оффсет. Я разными пользовался и ни у одного никаких проблем с оффсетом не было. Нормально все растягивалось и сдвигалось.
PS/ Про щуп не нашел. Более дебильного занятия чем тупо перелистывать страницы представить нельзя. Хочу поиск!

Добавлено (22.04.2011, 03:00)
---------------------------------------------
Надо же. Оказывается можно и вход закрытый сделать. Есть чип-X7R-630-0.047мкф K 1210 11.00р Нашел тут - http://micronika.ru/order.phtml?vid=51&&showperpage=300&page=2
1210 - совсем маленький.

Сообщение отредактировал kison - Пятница, 22.04.2011, 03:23  
 
LeftRadio Пятница, 22.04.2011, 04:18 | Сообщение # 1120
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
IM41GR увидел здесь.

Quote (kison)
Для AD9280 все нормально при выходе сигнала за пределы. Просто зашкал.

При выходе на сколько за пределы? И Вы проверяли, или нормально потому что AD не пугает? :) В принципе ничто не мешает попробовать для LTC2288/89, все равно оффсет будет.

Quote (kison)
Это не для FIFO.

Почему? при 1МГц просто мин. наблюдаемая частота, так чтобы полный период влез в экран, будет ~2кГц и все, да и не важно уже если в любом случае решили делать с ПЛИС, даже если оставим ФИФО.

Quote (kison)
Про щуп не нашел. Более дебильного занятия чем тупо перелистывать страницы представить нельзя. Хочу поиск!

Да поиск почему то не работает(он кстати на главной странице :) ) посмотрю, в крайнем случае есть у google поиск по сайту:) Но про щуп я что то сам не припомню что LazyCat писал...


---

Нет войне!
 
Форум » Сайт и форум » Разработки и Статьи на сайте » Все вопросы касающиеся Neil Scope (Ваши замечания, предложения и т.д.)
Поиск:
uTmpl.ru Сайт создан в системе uCoz Сейчас: 20.04.2024, 07:32