Здравствуйте, гость ( Вход | Регистрация )

Форум » Сайт и форум » Разработки и Статьи на сайте » Все вопросы касающиеся Neil Scope (Ваши замечания, предложения и т.д.)
Все вопросы касающиеся Neil Scope
LeftRadio Пятница, 26.03.2010, 21:41 | Сообщение # 1
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Шапка темы.

--
NEIL SCOPE 2



--------------------------------------------------------------------------------------

--
NEIL SCOPE 3

Схема ревизия 3.2 - NS_3.0_rev_3.2.pdf
Схема соединения ЖК с 16-ти битной шиной(только для ревизий ниже 3.2, в 3.2 HC573 уже есть на основной плате) - NS_3.0_HC573.pdf
BOM(список компонентов) - BOM_NS3_rev3_2.htm

-------------------------------------------------------------------

-------------------------------------------------------------------

Прошивка ПЛИС --- 19/05/15

MCU Bootloader (загрузчик МК) --- 17/10/13
Прошивка МК (ЖК с 8бит, 65к цветов) --- 2015_17_15
Прошивка МК (ЖК с 8 бит, 262к цветов) --- 2015_17_15
Прошивка МК для (ЖК с 16бит, HC573, 262к цветов) --- 2015_12_17

Исходники ПЛИС (github) --- 11_12_13
Исходники МК (github) --- 12/02/15
Архивные прошивки МК

Краткое описание осциллографа
Протокол обмена NeilScope3 с ПК

//----------------------------------------------------------------------------------------------------------
Прошивальщик МК (автор Ильдар) - NSFlashLoader2.
Утилита для перепрошивки СР2102 VID/PID под NeilScope3 (автор Ильдар) - NSCP2102Utilite2.rar.
Использование данных VID/PID для перепрошивки СР2102 под другие устройства категорически запрещено, они были получены по запросу у Silicon Laboratories Inc. и предназначены для использования только с NeilScope3 или другими версиями NeilScope.

Утилита для теста осциллографа - ns_test_util.
Для запуска нужно скачать и установить Python 3.4 и PyQt5, при установке добавляем переменные окружения. Распаковать архив куда нибудь и набрать из этой папки в консоли - "python main.py"

Драйвера для NeilScope3 (под перепрошитые VID/PID) - NeilScopeDriver.zip

Процедура прошивки МК(обновлено 23.08.2015) - FirmwareManual.pdf

Для последующих обновлений просто запускаем NSFlashLoader, и обновляемся. Джампер при этом трогать уже не нужно.

//----------------------------------------------------------------------------------------------------------
.
.
.
Важные замечания по сборке

На плате не указана перемычка с 10-го вывода(INTRL) 74НС4052(U15) на вывод SWDIO МК, можно и просто подпаять пока на +3.3В в любое удобное переходное отверстие.

//----------------------------------------------------------------------------------------------------------

Фото платы ревизии 3:



Демо видео работы осциллографа, ревизия 1.9

----------------------------------------------------------------------------------------
Схема и плата ByteBlusterMV для программирования EPM570, оригинал здесь. Эту плату делал сам, проверена, работает.
.
.
//----------------------------------------------------------------------------------------------------------

Любое коммерческое использование данного проекта категорически запрещено. По всем вопросам обращаться wladkam(гав)mail.com
Прикрепления: 5561811.jpg (244.1 Kb) · 2201731.jpg (212.8 Kb)


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 17.12.2015, 04:59  
 
LeftRadio Среда, 29.02.2012, 18:34 | Сообщение # 2441
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (volk0203)
а в случае с бистабильным как?

Точно также :) При включении оцилла МК дает сигнал на переключение реле, например в нижнее по схеме положение, ну а дальше МК уже знает в каком состоянии реле :)


---

Нет войне!
 
Arpad Среда, 29.02.2012, 19:27 | Сообщение # 2442
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
Quote (Leftradio)
как измерить КСВ в наших условиях,

КСВ существует только в согласованных трактах 50-75 и др. Ом. Наша проблема наличие паразитной емкостной связи между цепями.
Прикрепления: isolation.doc (26.0 Kb)
 
LeftRadio Среда, 29.02.2012, 19:48 | Сообщение # 2443
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (Arpad)
КСВ существует только в согласованных трактах 50-75 и др. Ом. Наша проблема наличие паразитной емкостной связи между цепями.

ОК, зачем тогда пугать было :) Ну хоть польза есть, я пошел почитал про КСВ :D

Quote (Arpad)
К сожалению внутреннее строение реле где пластик где металл как там контакты толком ерзают друг над другом не знаю , гадание не метод.

Ну все равно ведь "лишняя" группа контактов у реле остается, думаю хуже не будет.

По поводу емкостной связи, мы наверное разные даташиты читаем, или ревизии у них отличаются, вот что в моем:


И тут видно, что как я и писал - -50дБ на 60МГц, а на 10МГц примерно -65дБ, но никак не 38дБ :)

Вот и вот, в этих даташитах тоже что и на картинке.
Прикрепления: 2898447.png (84.2 Kb)


---

Нет войне!
 
kison Среда, 29.02.2012, 19:51 | Сообщение # 2444
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
У меня еще вопрос по верилогу, а как можно то что на схеме впихнуть все в один модуль? Или все удобно разбивать?

Разбивать. А потом собирать в один, но уже имплементацией в файл уровнем выше.

Quote (Arpad)
Наша проблема наличие паразитной емкостной связи между цепями.

Нет там проблемы. К примеру картинка входа из шапки.

Допустим выбран вход 1/10, т.е. замкнуты 3+4 и 6+5
Я так понимаю смущают те 1-2 пикофарада, что между разомкнутыми 2 и 3? А 20 пик VC1 включенные там же - не смущают? :D
Не ловите черную кошку в темной комнате. Почему - думаю знаете :p
Прикрепления: 0617146.gif (13.0 Kb)
 
volk0203 Среда, 29.02.2012, 19:55 | Сообщение # 2445
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Да всё там нормально должно быть, реле сигнальное, а не силовое, например. 1-2 пФ погоды не сделают, делитель всё равно компенсировать надо.
 
LeftRadio Среда, 29.02.2012, 20:05 | Сообщение # 2446
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Разбивать. А потом собирать в один, но уже имплементацией в файл уровнем выше.

Вот это трудный для меня вопрос я их собирать только в схемном умею... То есть сначала создать элемент из файла, ну а потом как обычно дорожки рисовать :)

Quote (kison)
VC1 включенные там же - не смущают? :D

Точно! Я я ведь когда именно эту схему рисовал точно также подумал, что эта емкость пофиг ведь она параллельна подстрочнику, просто сейчас в квартус углубился :D

Quote (kison)
Не ловите черную кошку в темной комнате. Почему - думаю знаете :p

:D


---

Нет войне!


Сообщение отредактировал LeftRadio - Среда, 29.02.2012, 20:07  
 
kison Среда, 29.02.2012, 20:15 | Сообщение # 2447
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Вот это трудный для меня вопрос я их собирать только в схемном умею...

Вообще это плохой пример - модули связаны очень слабо. Почти не уменьшится количество портов, только одна шина Y[15:0] (EN[15:0) спрячется внутри.
Code

`include "demux.v"
`include "Registers_block.v"

module topp
(
input   [3:0]   A,
input           RS,
input           WR,
output  [3:0]   SEL,

input   [7:0]   DATA_IN,
output  [15:0]  Decimation,
output  [7:0]   Trigger_level_A,
output  [7:0]   Trigger_level_B,
output  [15:0]  WIN,
output  [7:0]   cnfPin
);

wire    [15:0]  EN;

demux   demux_1
         (
         .RS(RS),
         .WR(WR),
         .A(A),
         .Y(EN),
         .SEL(SEL)
         );
          

Registers_block Registers_block_1
         (
         .RS(RS),
         .WR(WR),
         .EN(EN),
         .DATA_IN(DATA_IN),
         .Decimation(Decimation),
         .Trigger_level_A(Trigger_level_A),
         .Trigger_level_B(Trigger_level_B),
         .WIN(WIN),
         .cnfPin(cnfPin)
         );

endmodule

В верилоге не стоит давать цепям, портам или регистрам такие имена как А или Y. Все как и с переменными в Си. Код должен быть самодокументируемым.
Вот Trigger_level_A вполне нормальное имя. Понятно что это и зачем. А зачем А? :)
 
LeftRadio Среда, 29.02.2012, 20:36 | Сообщение # 2448
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Спасибо за пример! Сейчас попробую.

----------------------------------------------------------------------------------------------------------------
Вот немного оптимизировал так сказать дизайн :) Частоту обещает 117МГц, использовано ровно 60%



------------------------------------------------------------------------------------------------------------------

И еще вопрос если можно :) , вот у меня блоке есть выход cnfPin, модуль подключаю в топ, но с модуля топ мне нужно иметь выхода конкретных битов этой шины, cnfPin[0] и cnfPin[2] к примеру, как это сделать?
Прикрепления: 7579961.pdf (24.4 Kb) · 8197159.png (46.3 Kb)


---

Нет войне!


Сообщение отредактировал LeftRadio - Среда, 29.02.2012, 22:06  
 
Arpad Среда, 29.02.2012, 22:04 | Сообщение # 2449
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
Quote ( kison,volk0203 )
Прочитайте если найдете время а не по кускам извлекая как политики
Каша заварилась по данному сценарию.
Прикрепления: for_smart_and_i.doc (180.0 Kb)
 
LeftRadio Среда, 29.02.2012, 22:43 | Сообщение # 2450
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
В Вашем варианте проходная емкость в 1-2пф возможно и очень важна, однако его вряд ли можно рассматривать для этого осцилла, так как прибор автономный и в нем просто нет места на всю эту гору деталей :) А в том варианте что я выкладывал с коэффициентами 1:2 и 1:20 это не так важно так как проходная емкость включена параллельно подстроечной. Однако в моем варианте похорошему надо делать так:


Дополнительный подстроечный конденсатор нужендля то го же для чего он нужен в варианте kison-a, а это получается аж 3 подстроечника... Я вот думаю может все же вернутся к тому варианту что в шапке(вариант kison-a) :D , хотя вход там спалить действительно очень просто :( , ну или наплевать на изменение емкости в делителе на разных диапазонах...
Прикрепления: 7927892.png (11.3 Kb)


---

Нет войне!
 
volk0203 Среда, 29.02.2012, 22:53 | Сообщение # 2451
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Дилема... Изменение ёмкости на разных диапазонах приведёт к тому, что невозможно будет использовать выносной делитель без того, чтобы не компенсировать его каждый раз... Неудобство жуткое... Может ещё одно реле добавить в канал?
 
LeftRadio Среда, 29.02.2012, 22:57 | Сообщение # 2452
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (volk0203)
Может ещё одно реле добавить в канал?

Зачем??? Лучше уж тогда подстроечник добавить как я нарисовал, но куда его блин на плату лепить...


---

Нет войне!
 
Arpad Среда, 29.02.2012, 22:59 | Сообщение # 2453
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
Quote (LeftRadio)
Делайте вариант (7927892.png) 499 ком на входе многие вопросы решает по защите входа.
Дополнительный подстроечный конденсатор нужен для одинаковой входной емкости для выносного щупа.
 
volk0203 Среда, 29.02.2012, 23:07 | Сообщение # 2454
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Quote
куда его блин на плату лепить...

Навесным монтажём, если что...
 
Arpad Среда, 29.02.2012, 23:41 | Сообщение # 2455
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
Может кому интересно ? Имрад Киев (средне дорогая) вижу у них впервые
конденсаторы подстроечные TZ03R200F169_4,2-20,0pF Murata 2.90
конденсаторы подстроечные TZ03Z050F169_2,0-5,0pF Murata 2.50
конденсаторы подстроечные TZ03Z300F169_6,2-30,0pF Murata 2.50
конденсаторы подстроечные TZ03Z400F169_6,8-40,0pF Murata 2.50
конденсаторы подстроечные TZ03Z501F169_9,8-50,0pF Murata 2.50
конденсаторы подстроечные TZ03Z600F169_10,0-60,0pF Murata 2.50
конденсаторы подстроечные TZ03Z700F169_13,0-70,0pF Murata 2.50
конденсаторы подстроечные TZ03Z901F169_26,0-90,0pF Murata 2.50
конденсаторы подстроечные TZB4Z060AB10R00_2,0-6,0pFMurata 2.50
 
kison Четверг, 01.03.2012, 06:38 | Сообщение # 2456
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
И еще вопрос если можно , вот у меня блоке есть выход cnfPin, модуль подключаю в топ, но с модуля топ мне нужно иметь выхода конкретных битов этой шины, cnfPin[0] и cnfPin[2] к примеру, как это сделать?

Сделать cnfPin просто внутренней шиной.
wire [7:0] cnfPin;
Объявить новые порты, ну например out_1 и out_2 ( опять же с более осмысленными именами конечно). Вместо output [:0] cnfPin.
output out_1,
output out_2
Дальше надо добавить подключение этих выходов к нужным разрядам cnfPin - в любом месте модуля, но лучше все же в начале для лучшей читаемости:
assign out_1 = cnfPin[0];
assign out_2 = cnfPin[2];

Делитель с двумя отводами вызовет нехилый лишний геморрой с компенсацией. Профессиональные метрологи даже говорят, что это вообще невозможно. Впрочем если второй группой контактов реле подключать емкости еще куда то в делитель, то может и удастся. Но это нужно долго и нудно проверять в спайсе. На хоботе в ветке о осцилле это наверно год обсуждалось. Если нужно два отвода и оба с коэффицентом передачи меньше 1, то делается просто два делителя. И второй группой реле переключаются сами делители. Плюс первой группой - отводы от них. Это хороший вариант, но места занимает много.
 
volk0203 Четверг, 01.03.2012, 09:51 | Сообщение # 2457
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Если мы твёрдо решили отказаться от интерлива, то можно убрать 4052, получив немного свободного места. По поводу делителя подтверждаю, скомпенсировать его будет непросто, когда-то пробовал, отводов правда было 3 или 4, уже не помню, но скомпенсировать его так и не удалось. Так что вариант kison-а имеет право на жизнь.. Надо проверять в железе имеющийся вариант.
 
LazyCat Четверг, 01.03.2012, 11:22 | Сообщение # 2458
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (LeftRadio)
Ну да, только источник тока не означает стабилизатор тока

В нашем случае это одно и то же. Простейший ЦАП на 3-х резисторах даст вполне стабильные 4 уровня напряжения, подключаем сюда базу биполярного транзистора, в эмитере которого находится токозадающий резистор, к коллектор ставим светодиоды подсветки с выравнивающими резисторами и подключаем к аккумулятору. Но раз регулируемая подсветка никому не нужна, то делайте так, как считаете нужным.

Quote (LeftRadio)
Внешнее ставить не хочется, это надо что типа того же МАХ9113, то есть такт для второго канала будет всегда инвертирован

Естественно, я имел ввиду внешние элементы XOR, т.к. постоянный сдвиг тактов в каналах при обычной работе не есть good. Это 4 элемента. На 2-х оставшихся можно сделать кварцевый генератор на 50 Мгц с простым управлением start/stop, но это просто к слову.

Quote (LeftRadio)
Наверно обойдемся без него, интерполировать доп. точки может будет даже точнее как когда то говорил kison

Никакая интерполяция не заменит преимуществ правильного интерлива(спросите у Котельникова) :D Но раз простыми средствами он не получается, от него лучше отказаться сразу !

P.S. Что касается входной цепи, то я за схему 7927892.png


Сообщение отредактировал LazyCat - Четверг, 01.03.2012, 11:26  
 
kison Четверг, 01.03.2012, 11:48 | Сообщение # 2459
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (volk0203)
Надо проверять в железе имеющийся вариант.

Если про вариант из шапки - то его проверять не надо. Это вход нескольких моделей советских осциллографов. Собственно оттуда я его и позаимствовал в свое время. Отличия два - вместо ОУ там был буфер на полевиках и вместо реле галетный переключатель пределов.
Quote (LazyCat)
Никакая интерполяция не заменит преимуществ правильного интерлива(спросите у Котельникова)

Он то как раз уже ответил - заменит. Пример - оцифровка синуса 1МГц. Дискретизация 50 мегасэмплов. Включается интерлив - 100 мегасэмплов. Синус станет синусоиднее? Интерлив хорош если с его включением расширять полосу аналогового тракта. Если этого не делать он всего лишь, может на 1-2%, упростит расчет интерполяции.
 
bonifa_70 Четверг, 01.03.2012, 12:05 | Сообщение # 2460
Кто здесь?
Группа: Проверенные
Сообщений: 9
Статус: Offline
Предлагаю выкинуть входное реле.
И массу входного разъема посадить через "входную" емкость на общий провод.
Для перевода этой конструкции в измерение постоянки - коммутировать емкость полевиком с 0.06 ома сопротивлением и 100В сток исток .
Получите контроль за состоянием входа - закрытый или открытый.
Вожможно что экранировка щюпа когда полевик закрыт- теперь может зависеть от величины емкости но ее можно сделать и 10мф. Тогда мы выскочим в ультра низкие частоты где помех нет.
Управление полевиком думаю обьяснять не нужно. Ток управления как правило отсутствует. При закрытом полевике мы получим еще одну емкость подключенную паралельно установленной . Экономия места и потери на индуктивности и емкости реле по входу .
 
LazyCat Четверг, 01.03.2012, 12:16 | Сообщение # 2461
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
Он то как раз уже ответил - заменит. Пример - оцифровка синуса 1МГц. Дискретизация 50 мегасэмплов.

Вы уж извините, но это полный бред, да и пример не в тему. Давайте посмотрим хотя бы меандр с частотой 10МГц :) Прежде чем такое писать, Вы сначала подумайте когда нужно использовать интерлив и тогда таких комментов не будет. Да и термином интерполяция пользуйтесь аккуратнее.

P.S. Не всем нужен осциллограф для наблюдения чистого синуса :D


Сообщение отредактировал LazyCat - Четверг, 01.03.2012, 12:27  
 
kison Четверг, 01.03.2012, 13:13 | Сообщение # 2462
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
Вы уж извините, но это полный бред, да и пример не в тему.

Ну-ну. Если не знаете - учитесь. Любой сигнал - сумма синусоид. Известно это с начала 19 века. Был такой француз - Жан Батист Жозеф Фурье. Просвещайтесь - http://ru.wikipedia.org/wiki/Тригонометрический_ряд_Фурье http://ru.wikipedia.org/wiki/Ряд_Фурье
Quote (LazyCat)
Давайте посмотрим хотя бы меандр с частотой 10МГц

Мендр с частотой в 10МГц содержит гармоники, и надо же - это... синусоиды. Если их убрать, то как ни странно он становится синусом. Осциллораф с полосой в 10МГц именно так его и отрисует - синусоидой. Даже если дискретизация будет 10 гигасэмплов.


Сообщение отредактировал kison - Четверг, 01.03.2012, 13:13  
 
volk0203 Четверг, 01.03.2012, 14:28 | Сообщение # 2463
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Немного не в струю, но на некотором этапе обсуждения был затронут вопрос о возможности сохранения пользовательских настроек. Для этого предполагалось добавить I2C память, небольшую, т.к. МК не имеет своей, пригодной для этих нужд....
 
LeftRadio Четверг, 01.03.2012, 14:39 | Сообщение # 2464
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
Дальше надо добавить подключение этих выходов к нужным разрядам cnfPin - в любом месте модуля, но лучше все же в начале для лучшей читаемости:

Спасибо! Я в принципе так и подумал что нужен assign :)

Quote (kison)
Но это нужно долго и нудно проверять в спайсе.

Проверял, говорит что можно скомпенсировать :) А вот как будет, и будет ли, меняться входная емкость для щупа не проверял.

Quote (volk0203)
Если мы твёрдо решили отказаться от интерлива, то можно убрать 4052, получив немного свободного места.

Не получим, "двигать" аналог ближе к АЦП смысла нет, убирать его не обязательно, авось потом чего то в ПЛИС придумается :) И удорожание аналога от ключа можно считать что нет.

Quote (volk0203)
Так что вариант kison-а имеет право на жизнь..

Конечно имеет, вопрос только в "дуракоустойчевости" входа, я и был за этот вариант как самый простой и оптимальный(из всех предложенных) для портативного осцилла.

Quote (LazyCat)
Но раз простыми средствами он не получается, от него лучше отказаться сразу !

Отказаться, да, но мне кажется аппаратно такую возможность оставить нужно, разницы всего то ключ и два выхода такта вместо одного, можно даже перемычку там поставить между тактами. Зато потом если приспичит и получится это сделать в ПЛИС, то отпаяли перемычку и все.

Quote (LazyCat)
Но раз регулируемая подсветка никому не нужна, то делайте так, как считаете нужным.

Почему не нужна :) В принципе не помешает для экономии аккумулятора, попробовал Вашу идею с транзистором, нормально работает, только с R2R не получается регулировать по нормальному, фактически получается две градации яркости, думаю этого вполне хватит. Итого получается 3 резистора вместо с токозадающим.

Quote (LazyCat)
Давайте посмотрим хотя бы меандр с частотой 10МГц :)

Если полоса аналога позволяет смотреть меандр на 10МГц(полоса аналога 50-60МГц) то разница конечно есть, а если полоса пропускания меньше, то смысла в интерливе нет, обсуждали это еще давно :)

Quote (bonifa_70)
Вожможно что экранировка щюпа когда полевик закрыт- теперь может зависеть от величины емкости но ее можно сделать и 10мф. Тогда мы выскочим в ультра низкие частоты где помех нет.

Не понял а зачем это все? И как будут без реле переключатся диапазоны?

Quote (volk0203)
Немного не в струю, но на некотором этапе обсуждения был затронут вопрос о возможности сохранения пользовательских настроек. Для этого предполагалось добавить I2C память, небольшую

Да, я за это тоже вспомнил :) Поставлю, места вроде есть немного...


---

Нет войне!
 
LeftRadio Четверг, 01.03.2012, 14:44 | Сообщение # 2465
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (LeftRadio)
А вот как будет, и будет ли, меняться входная емкость для щупа не проверял.

Проверил, Spice говорит что щуп крутить не надо при переключении диапазона :)

--------------------------------------------------------------------------------------------------
Нет, наврал :( Просимулировал более дотошно, будет, неравномерность до +-0.3-0.5дБ.


---

Нет войне!


Сообщение отредактировал LeftRadio - Четверг, 01.03.2012, 16:07  
 
kison Четверг, 01.03.2012, 17:00 | Сообщение # 2466
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
вопрос только в "дуракоустойчевости" входа

Ну я же не рассчитывал на совсем дурачков лезущих прибором в выходные цепи передатчиков. Обычно те, кто передатчиками занимается представляют себе что можно, а что нельзя. Это настолько редкая ситуация, что на нее можно забить. Надо не забывать и о том, что потенциально опасный источник сигнала должен еще суметь выжечь вход. Т.е. иметь приличную мощность выхода и приличную амплитуду при частоте выше 5МГц. Я с такими сигналами никогда не встречался. Да и для передатчика прибор с полосой в 10МГц это очень мало. Так что думаю в реальной жизни защита выдержит все. А то ведь можно начать на шокер на входе рассчитывать. А он способен убить вход даже при включенном делителе на 100.
Quote (LeftRadio)
но мне кажется аппаратно такую возможность оставить нужно,

Это звучит как - оставить можно. Правильно, только дабавить перемычку если мультиплексора не будет. 0603 нулевичок 1 шт.
Quote (LeftRadio)
Не понял а зачем это все? И как будут без реле переключатся диапазоны?

Это о твердотельном реле шунтирующем конденсатор. Так то правильно, но мосфет это по сути ключ и диод параллельно. В реле тоже мосфет, только их два последовательно. И диоды сами себя выводят из игры. А если мосфет один выйдет выпрямитель :)

Добавлено (01.03.2012, 16:55)
---------------------------------------------
И еще о защите. На самом деле может оказаться наоборот - с отводами хуже. Обосную почему. В случае отвода опасны ВЧ сигналы с источника большой мощности. Выход какой нибудь 74hc не сможет повредить ОУ даже если там будет меандр в 50МГц. Но есть и плюс - конденсатор шунтирующий защитный резистор может быть любого типа. Даже высоковольтным с плохим ТКЕ. В делителе же - подстроечник с напряжением 100В. Причем его нельзя "усилить" последовательным высоковольтным конденсатором, ибо тут уже ТКЕ и стабильность важны для скомпенсированности делителя. Прямой вход при соответствующем номинале резистора вполне можно... воткнуть в розетку. И ОУ останется живой. А с отводом может пробиться верхний подстроечник и все напряжение попадет на вход ОУ. А теперь - что в жизни встречается чаще - розетка на 220В или выходные каскады передатчиков? :p

Добавлено (01.03.2012, 17:00)
---------------------------------------------
Что то задумался - у меня прямой вход защищеннее выходит, чем если включен отвод от делителя. Как то раньше думал, что наоборот. :D

 
LeftRadio Четверг, 01.03.2012, 19:05 | Сообщение # 2467
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (kison)
И еще о защите.

Я тоже думаю что от всего защититься нельзя :) , но чисто умозрительно кажется что с отводом защита лучше :) Правда в Вашем варианте если вход ОУ подключен на отвод делителя будет тоже самое что Вы описали(смотря какой подстроечник пробьется раньше :D ).


---

Нет войне!
 
Arpad Четверг, 01.03.2012, 20:02 | Сообщение # 2468
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
quote (LeftRadio)
Постав те 5-10 ком последовательно между переключающим контактом реле и АД8056 ;
При входной емкости ОУ около 2 пФ это даст пару наносекунд а 10 мГц это 100 нс,
при предельно допустимом токе якобы 30 мА будет 150 - 300 Вольт защиты.
 
volk0203 Четверг, 01.03.2012, 20:04 | Сообщение # 2469
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Просьба при корректировке платы (добавлении стабилизатора для подсветки дисплея, памяти и т.д.) предусмотреть набор плеч входного делителя, особенно верхних, из двух последовательно соединённых резисторов 0805, для удобства и повышения пробойного напряжения (на всякий случай). И если можно RN7 разбить на дискретные резисторы, место там вроде есть... Спасибо. :)
 
kazimirius Четверг, 01.03.2012, 23:31 | Сообщение # 2470
Освоился
Группа: Проверенные
Сообщений: 45
Статус: Offline
Quote
Решение неплохое, скомпенсировать такой делитель будет сложнее...

Для частоты 20МГц вполне выполнимо.
Я вот "точил" дифференциальный щуп на напряжение 400V 1:200 и то все работает. Скомпенсировать два плеча очень не просто, а одно плече - элементарно.
Резисторы SMD имеют очень низкую индуктивность (без учета монтажа), поэтому конденсатор паралельно резистору 500кОм будет примерно 2пФ, но нужны будут все три подстроечных конденсатора.
Прикрепления: 5218090.jpg (66.0 Kb)


Сообщение отредактировал kazimirius - Четверг, 01.03.2012, 23:36  
 
LeftRadio Четверг, 01.03.2012, 23:34 | Сообщение # 2471
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
.
Прикрепления: NS_3.0_rev_2.4.pdf (82.2 Kb) · NS_3.0_rev_2.4.lyt (319.1 Kb)


---

Нет войне!
 
volk0203 Четверг, 01.03.2012, 23:41 | Сообщение # 2472
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Чем вызваны изменения в аналоге? Вроде ничего не упоминалось по этому поводу...
 
LeftRadio Пятница, 02.03.2012, 00:12 | Сообщение # 2473
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
В основном энергопотреблением, если это изменение нежелательно, то вернемся к МАХ4216. Входной делитель решил оставить такой как есть, это компромисс, плюс скомпенсировать такой делитель намного проще.

P.S. 2.2nF NP0 это опячатка :)


---

Нет войне!


Сообщение отредактировал LeftRadio - Пятница, 02.03.2012, 02:22  
 
kison Пятница, 02.03.2012, 06:19 | Сообщение # 2474
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LeftRadio)
Правда в Вашем варианте если вход ОУ подключен на отвод делителя будет тоже самое что Вы описали(смотря какой подстроечник пробьется раньше ).

Я о этом выше написал - получилось что прямой вход даже защищеннее чем с делителем. Следующий шаг - перенести резистор и конденсатор на другую сторону реле, чтоб всегда работали. И вообще - кто нибудь может привести пример сигнала способного убить вход кроме выхода передатчика? В который тыкать подобным прибором просто бессмысленно - не те параметры у прибора.
Quote (Arpad)
Постав те 5-10 ком последовательно между переключающим контактом реле и АД8056 ; При входной емкости ОУ около 2 пФ это даст пару наносекунд а 10 мГц это 100 нс,

Входная емкость ОУ - емкость не запаянной микросхемы. Есть еще емкость монтажа. И вместе они будут около 5 пик. Но даже для двух пик частота среза RC из 10Ки 2пФ меньше 8МГц. И это уже по уровню -3Дб, т.е. треть амплитуды потеряна. Без искажений более-менее проходит 4МГц. Если подставить реальную емкость уже с учетом емкости монтажа, то срез на 3,3МГц на уровне -3дб и без искажений проходит только 1,7МГц.
Quote (LeftRadio)
В основном энергопотреблением, если это изменение нежелательно

Теперь аналог у нас стал одинаковым. :D Я этот вариант со смещением тоже ввел пару недель назад и даже плату подкорректировал. Впрочем он и на текущей плате получается если перерезать одну дорожку и добавить одну перемычку. Ну и исключить ОУ.
Ну и еще разница в делителях. У меня отвод на 1/50 в высокоомном и на один отвод больше в низкоомном.
И аккуратней с переключением конденсаторами. Этот способ ненадежен. Да и емкость у меня получалась в 3 раза больше, с учетом допуска и падения емкости со временем. Да и вообще я не люблю электролиты - слабое звено. :D
 
atmicandr_old Пятница, 02.03.2012, 07:16 | Сообщение # 2475
Местный
Группа: Друзья
Сообщений: 186
Статус: Offline
Quote (kison)
Теперь аналог у нас стал одинаковым. Я этот вариант со смещением тоже ввел пару недель назад и даже плату подкорректировал. Впрочем он и на текущей плате получается если перерезать одну дорожку и добавить одну перемычку. Ну и исключить ОУ.
Ну и еще разница в делителях. У меня отвод на 1/50 в высокоомном и на один отвод больше в низкоомном.
Паша, давайка в этом месте поподробнее! Забыл, что я заинтересованное лицо? :( МОЖНО В ЛИЧКУ и на Опене...


А я лампы соберу, молоточком все побью. LED&LCD рулят. Мой ящик: andrey66k@gmail.com

Сообщение отредактировал atmicandr - Пятница, 02.03.2012, 07:18  
 
volk0203 Пятница, 02.03.2012, 09:43 | Сообщение # 2476
Завсегдатай Профессионал
Группа: Проверенные
Сообщений: 695
Статус: Offline
Quote
И аккуратней с переключением конденсаторами. Этот способ ненадежен. Да и емкость у меня получалась в 3 раза больше, с учетом допуска и падения емкости со временем. Да и вообще я не люблю электролиты - слабое звено.

Согласен, по месту экономии никакой, по стоимости тоже практически нет, на полевиках однозначно надёжней...

Память FM24C64 пятивольтовая...


Сообщение отредактировал volk0203 - Пятница, 02.03.2012, 13:58  
 
LazyCat Пятница, 02.03.2012, 11:05 | Сообщение # 2477
Завсегдатай
Группа: Проверенные
Сообщений: 329
Статус: Offline
Quote (kison)
Мендр с частотой в 10МГц содержит гармоники, и надо же - это... синусоиды.

И хотя Вы настойчиво продолжаете флудить, я не собираюсь овечать флеймом. Убедительная просьба, то что Вы сказали на этом форуме, не говорите на других - засмеют :D
А для остальных коллег поясню теорию, чтобы были в курсе возникшей дилеммы.
Данный осциллограф по полосе пропускания аналогового тракта вполне способен отобразить меандр с частотой 10Мгц. При дискретизации в 50 мегасэмплов и линейной интерполяции рисунок на экране будет очень отдаленно напоминать меандр. Если применить sin(x)/x интерполяцию, то увидим почти чистый синус, как обещает нам Котельников :( А вот при увеличении дискретизации до 100 мегасэмплов даже при линейной интерполяции мы увидим правдоподобный сигнал, а sin(x)/x интерполяция вообще даст идеальный меандр с небольшими выбросами на фронтах. Думаю, более наглядный пример работы теоремы Котельникова трудно привести.
Кстати, по поводу ряда Фурье. В этом осциллографе есть FFT, так вот, уважаемый kison, посмотрите анализ спектра этого меандра при 50 и 100 мегасэмплах ;)

Quote (LeftRadio)
попробовал Вашу идею с транзистором, нормально работает, только с R2R не получается регулировать по нормальному, фактически получается две градации яркости

Я же писал "2 вывода процессора и 3 резистора" и получаем 4 уровня. Кстати, питание ведь на подсветку надо брать с BATT
 
Arpad Пятница, 02.03.2012, 11:43 | Сообщение # 2478
Частый посетитель
Группа: Проверенные
Сообщений: 58
Статус: Offline
quote (kison)
..Если подставить реальную емкость уже с учетом емкости монтажа, то срез на 3,3МГц на уровне -3дб и без искажений проходит только 1,7МГц.

Каюсь , при подсчете на пальцах потерял порядок и ошибся , но благодаря Вашему расчету за что спасибо, можно все подкорректировать и получить защиту в
30, 45 или 60 Вольт (1 , 1,5 или 2ком ) и это "-3дб и без искажений проходит только 17МГц , 11,33МГц или 8,5МГц" а-то сейчас кур ям на смех поставили 100 Ом .
 
kison Пятница, 02.03.2012, 14:14 | Сообщение # 2479
Завсегдатай
Группа: Друзья
Сообщений: 275
Статус: Offline
Quote (LazyCat)
Убедительная просьба, то что Вы сказали на этом форуме, не говорите на других - засмеют

Стыдно не хотеть учиться то. :D А зачем клоуном себя выставлять - вообще не понимаю.
Quote (LazyCat)
Данный осциллограф по полосе пропускания аналогового тракта вполне способен отобразить меандр с частотой 10Мгц.

Конечно. И даже 24 может. Только от меандра там ничего не останется - одна первая гармоника. Т.е. - синус. Вообще в спектре идеального меандра только нечетные гармоники содержатся. В реальном есть немного четных, но их амплитуда мала и ими можно пренебречь. Первая значащая гармоника меандра 10МГц - третья. Т.е. синусоида 30МГц. Вообще для того, чтобы форма была ближе к прямоугольнику, чем к синусу надо пропустить 3, 5 и 7-ю гармоники. Т.е. полоса пропускания должна быть >=70МГц. И это все равно будет не совсем меандр.

В то же время в обычном режиме не должно быть частотных составляющих выше 25МГц. Значит полоса должна быть ограничена фильтром, причем частота его среза должна быть значительно ниже 25МГц - фильтры все же неидеальны. Так что даже 3-я гармоника не пройдет. Про 5-ю и 7-ю вообще молчу.
Quote (LazyCat)
В этом осциллографе есть FFT

В этом - это в каком?
Quote (LazyCat)
А вот при увеличении дискретизации до 100 мегасэмплов даже при линейной интерполяции мы увидим правдоподобный сигнал, а sin(x)/x интерполяция вообще даст идеальный меандр с небольшими выбросами на фронтах.

Ну-ну. Чтобы получить более-менее красивый меандр надо 25-ю гармонику пропустить. Для меандра 10МГц это 250МГц частота. И дискретизация понадобится в теории от 500 мегасэмплов, а на практике - от гигасэмпла и выше. 100 мегасэмплов тут - очень мало.

Добавлено (02.03.2012, 14:14)
---------------------------------------------
И вообще - кроме голословных утверждений неплохо приводить и доказательства. Ссылки на теорию подтверждающую утверждения. Фантазии больного мозга малоинтересны. :D

 
LeftRadio Пятница, 02.03.2012, 14:32 | Сообщение # 2480
Совсем живу сдесь...
Группа: Администраторы
Сообщений: 2045
Статус: Offline
Quote (LazyCat)
Я же писал "2 вывода процессора и 3 резистора" и получаем 4 уровня. Кстати, питание ведь на подсветку надо брать с BATT

Я понимаю как делается простейший R2R ЦАП :) , взял BC847 и построил графики зависимости тока от входного напряжения, так вот во втором отводе смысла нет, либо есть, но это будет уже не R2R :) Ну и мне показалось что с двумя уровнями будет проще и вполне достаточно.

Quote (LazyCat)
Кстати, питание ведь на подсветку надо брать с BATT

Да, конечно, спасибо что заметили.

Quote (kison)
Теперь аналог у нас стал одинаковым. :D

Ну Вы так и не ответили какой у Вас ОУ после низкоомного, теперь знаю :D

Quote (kison)
И аккуратней с переключением конденсаторами. Этот способ ненадежен. Да и емкость у меня получалась в 3 раза больше, с учетом допуска и падения емкости со временем.

Ну можно и больше, проблем никаких, в том же типоразмере найти можно, рабочее напряжение то всего 3.3В

Quote (volk0203)
Согласен, по месту экономии никакой, по стоимости тоже практически нет

Дело не в экономии, я же писал - простота управления и меньше веревок на управление.

Quote (volk0203)
Память FM24C64 пятивольтовая...

Спешил, поставил как пример, по корпусам вроде совместимы с 24LC.


---

Нет войне!


Сообщение отредактировал LeftRadio - Пятница, 02.03.2012, 14:40  
 
Форум » Сайт и форум » Разработки и Статьи на сайте » Все вопросы касающиеся Neil Scope (Ваши замечания, предложения и т.д.)
Поиск:
uTmpl.ru Сайт создан в системе uCoz Сейчас: 25.04.2024, 00:44